Перейти к содержанию

Ne mogu skompilirovat' proekt


Рекомендуемые сообщения

Pishu prilozhenie na Borland Kylix 3 (C++). Kompilaciya prohodit normal'no, a na etape linkovki poluchayu soobsheniya :

Unresolved external __init_array_start referenced from /usr/lib/libc_nonshared.a|elf-init.oS

Unresolved external __init_array_end referenced from /usr/lib/libc_nonshared.a|elf-init.oS

Podskazhite pozhaluista, chto s etim delat' ...

Zaranee spasibo

Ссылка на комментарий
Поделиться на другие сайты

Судя по всему, проблема не в Kylix, а в ld и/или glibc. Система, случаем, не Mandrake 9? Такое точно было в glibc-2.3.1-8 и glibc-2.3.1-9, про остальные не знаю.

На мой взгляд, нужно обновить binutils и glibc.

Ссылка на комментарий
Поделиться на другие сайты

У меня RedHat 9.0. Короче так ... эту проблему, в принципе, решить удалось ... Есть еще одна ... Когда я пытаюсь открыть проект, то Kylix намертво виснет ... приходится перезапускать его раз 5-6, тогда он начинает работать ... Но, мягко говоря, меня это сегодня уже довело до истерики ... Чо можно с этим сделать ?

Заранее спасибо

Ссылка на комментарий
Поделиться на другие сайты

Ну с этим уже к Borland претензии предъявлять. Мне причина неизвестна (если не считать того, что мне известна глючность Kylix=)).

Цитата:

У меня RedHat 9.0. Короче так ... эту проблему, в принципе, решить удалось ...

Заменой библиотек, о которых я говорил, или как-то еще?

Ссылка на комментарий
Поделиться на другие сайты

Я пошел по следующему пути:

Создал новый юнит.

В нем прописал __init_array start и __init_array_end.

Скомпилировал

Подключил полученный объектный файл к своему проекту ...

Теперь проект компилируется наура ... Хотя почему-то не работае функция Format("%.2x%.4x", Vars, 1);

Второй элемент Vars имеет тип unsigned short, a первый - unsigned char... Получаю ексепшн EConversionError с сообщением что-то вроде %.4x не применимо к типу параметра ... Самое забавное, что тот же самый код замечательно работает в Виндах ...

Ссылка на комментарий
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

Загрузка...
×
×
  • Создать...